Высокочастотные ацп. Высокоскоростные АЦП способны изменить электронный мир? Системы сбора данных и микроконверторы

65 нанометров - следующая цель зеленоградского завода «Ангстрем-Т», которая будет стоить 300-350 миллионов евро. Заявку на получение льготного кредита под модернизацию технологий производства предприятие уже подало во Внешэкономбанк (ВЭБ), сообщили на этой неделе «Ведомости» со ссылкой на председателя совета директоров завода Леонида Реймана. Сейчас «Ангстрем-Т» готовится запустить линию производства микросхем с топологией 90нм. Выплаты по прошлому кредиту ВЭБа, на который она приобреталась, начнутся в середине 2017 года.

Пекин обвалил Уолл-стрит

Ключевые американские индексы отметили первые дни Нового года рекордным падением, миллиардер Джордж Сорос уже предупредил о том, что мир ждет повторение кризиса 2008 года.

Первый российский потребительский процесор Baikal-T1 ценой $60 запускают в массовое производство

Компания «Байкал Электроникс» в начале 2016 года обещает запустить в промышленное производство российский процессор Baikal-T1 стоимостью около $60. Устройства будут пользоваться спросом, если этот спрос создаст государство, говорят участники рынка.

МТС и Ericsson будут вместе разрабатывать и внедрять 5G в России

ПАО "Мобильные ТелеСистемы" и компания Ericsson заключили соглашения о сотрудничестве в области разработки и внедрения технологии 5G в России. В пилотных проектах, в том числе во время ЧМ-2018, МТС намерен протестировать разработки шведского вендора. В начале следующего года оператор начнет диалог с Минкомсвязи по вопросам сформирования технических требований к пятому поколению мобильной связи.

Сергей Чемезов: Ростех уже входит в десятку крупнейших машиностроительных корпораций мира

Глава Ростеха Сергей Чемезов в интервью РБК ответил на острые вопросы: о системе «Платон», проблемах и перспективах АВТОВАЗа, интересах Госкорпорации в фармбизнесе, рассказал о международном сотрудничестве в условиях санкционного давления, импортозамещении, реорганизации, стратегии развития и новых возможностях в сложное время.

Ростех "огражданивается" и покушается на лавры Samsung и General Electric

Набсовет Ростеха утвердил "Стратегию развития до 2025 года". Основные задачи – увеличить долю высокотехнологичной гражданской продукции и догнать General Electric и Samsung по ключевым финансовым показателям.

Расширение сетей беспроводной передачи данных с использованием все более высоких несущих частот и скоростей передачи данных ставит все более актуальные задачи усовершенствования оцифровки сигналов. Это означает, что возрастает спрос на более усовершенствованные аналого-цифровые преобразователи АЦП. Для удовлетворения современных требований появились аналого-цифровые преобразователи с частотой дискретизации более 1 ГГц. В данной статье будет рассматриваться использование более быстрых АЦП при разработке новых приложений, а также при модернизации старых.

Вспоминаем правило Найквиста

При выборе аналого-цифрового преобразователя для высокочастотного устройства следует помнить, что частота дискретизации АЦП должна быть в два или более раза выше пропускной способности сигнала, подлежащего оцифровке. Такую частоту дискретизации называют частотой Найквиста. Обратите внимание, что используется термин «пропускная способность», а не «частота». Если входной сигнал отличен от синусоиды, то он считается комплексным. Например, импульс, который состоит из основной синусоиды и кратных гармоник в соответствии с теоремой Фурье. Модулированные сигналы также содержат широкий диапазон частот, которые необходимо учитывать при выборе частоты дискретизации.

Рассмотрим прямоугольную волну, состоящую из основной частоты синусоидальной волны и бесконечного числа нечетных гармоник. Для прямоугольного сигнала с частотой 300 МГц частота дискретизации АЦП должна быть как минимум в два раза больше частоты пятой гармоники, или 3 ГГц. Более сложные сигналы, такие как радарные или модулированные сигналы, требуют аналогично высоких темпов обработки, чтобы точно улавливать все детали сигнала.

Примером может послужить приемное устройство станции обработки LTE Advanced сигналов, которые используют агрегацию носителей для более высокой пропускной способности и увеличения скорости передачи данных. Несколько стандартных 20 МГц LTE каналов группируют для обеспечения 40-, 80-, 160 МГц полосы пропускания, чтоб обеспечить более высокую пропускную способность OFDM.

Применение высокоскоростных АЦП в различных системах

В основном высокоскоростные АЦП применяются в программно-определяемых устройствах радиосвязи (SDR). Большинство современных SDR используют архитектуру прямого преобразования (zero IF), в которой входной сигнал оцифровывается непосредственно уже после фильтрации и усиления. При работе с сигналами дециметровой или высокой частоты (ДМВ или СВЧ) аналого – цифровой преобразователь должен иметь высокую частоту дискретизации. Один из примеров – сотовый приемник базовой станции.

Также высокоскоростные АЦП могут применятся и в других системах, таких как системы РЭБ (радиоэлектронной борьбы), записывающих RF системах, в радиолокационном оборудовании. Очень часто применяют высокоскоростные аналого-цифровые преобразователи и в измерительной технике, оборудовании рефлектомерии (OTDR). Является важной частью приемников цифровых предыискажений, используемых в линейных радиочастотных усилителях мощности.

Ниже показана блок схема ADC32RF45 Texas Instruments используемая в SDR приемниках прямого преобразования:

Входной полосовой фильтр выбирает требуемый сигнал, малошумящий усилитель усиливает его, после чего сигнал попадает на цифровой усилитель с переменным коэффициентом усиления, который обеспечивает надлежащий уровень входного сигнала для аналого-цифрового преобразователя. Внеполосные фильтры предотвращают наложения спектров. АЦП работает с внешним синтезатором PLL и очистителем джиттера. Он подключается к процессору DSP с помощью интерфейса JESD2048.

Среди продуктов, которые используют ADC32RF45, присутствуют и Pentek’s FlexorSet Software Radio Modules. Данные модули разработаны для помощи инженерам при проектировании специализированного оборудования связи и для проведения экспериментов с различным оборудованием SDR. Модули предлагают два канала АЦП и два канала ЦАП (). Xilinx FPGA с внутренним программным обеспечением для сбора данных и генерации сигнала ЦАП облегчает проведение экспериментов.

Требования при проектировании

Наиболее важным этапом проектирования с применением ADC32RF45 будет правильный подбор элементов входной цепи. В частности, сглаживающие внеполосные фильтры должны соответствовать входному сопротивлению АЦП. Это имеет важное значение для обеспечения максимума в полосе плоскостности фильтра и желательно вне зоны отторжения.

Для упрощения проекта рекомендуется использовать S параметры (параметры рассеивания). S-параметры в частотной области связанны с величинами моделирования поведения радиочастотных схем и компонентов. Эти комплексные значения, как правило, представлены в матричной форме, которой можно манипулировать, чтобы проиллюстрировать поведение и производительность схем и компонентов. Они предпочтительнее при проектировании систем связанных с линиями передач, фильтров и других высокочастотных устройств.

Кроме того, полная эталонная конструкция с модулем оценки (EVM) поможет ускорить и упростить процесс проектирования.

Если в структуре АЦП прямого взвешивания компараторы заменить линейными усилителями, а выходные напряжения каждого сравнивать с помощью ряда компараторов с несколькими опорными напряжениями, можно увеличить входной импеданс. Правда, при этом число компараторов и логических вентилей в схеме декодирования не уменьшится.

Hewlett-Packard запатентовала новый метод, названный аналоговым декодированием, теоретически позволяющий для N-разрядного аналого-цифрового преобразования использовать только N компараторов, защелок и логических элементов XOR .

В основе метода лежит использование аналоговых схем декодирования, работающих на нескольких уровнях, в отличие от обычных компараторов, работающих на одном.

2.4. Кодирование выходных сигналов

В АЦП прямого взвешивания выходы компараторов, опорные напряжения которых меньше входного сигнала, находятся в состоянии 1, а у тех, опорные напряжения которых больше входного, - в состоянии 0. По аналогии с ртутным термометром такой выходной код называют термометрическим. При изменении входного сигнала в каждый момент времени изменяться состояние только одного компаратора. Однако моменты срабатывания последних и приходов тактовых импульсов триггеров-защелок независимы, что при определенных соотношениях задержек этих элементов может приводить к появлению нестабильности выходного кода АЦП, называемой «искрящим кодом». Одним из способов борьбы с этим явлением является построение декодирующего устройства с применением кода Грея, при котором в каждый момент может изменяться состояние только одного разряда.

2.5. Функция пикового детектирования

Для того чтобы записать большой фрагмент сигнала в имеющуюся в устройстве память ограниченного объема, частоту выборок приходится уменьшать по сравнению с максимально возможной. При этом короткие выбросы сигнала могут быть пропущены. Для предотвращения этого явления можно использовать следующий прием. Частота дискретизации всегда максимальна. На запоминание поступает результат каждой N-й выборки, где N - коэффициент деления частоты дискретизации. Для выделения максимального положительного напряжения в промежутке между записями значение текущей выборки постоянно сравнивается с предыдущим, и сохраняется большее из них. Аналогично выделяется максимальное отрицательное напряжение. Такие «интеллектуальные» устройства, использующие описанный рекурсивный алгоритм, встроены в некоторые новые цифровые осциллографы. Например, осциллограф Hewlett-Packard HP54800 может запоминать импульсы длительностью до 500 пс, что соответствует частоте дискретизации 2 ГГц.

Рис. 1. Структурная схема "классического" АЦП прямого взвешивания

Рис. 2. Интерполирующая структура АЦП прямого взвешивания

При описанном алгоритме минимальная длительность выделяемого импульса ограничивается временем полного цикла преобразования АЦП, значительная часть которого уходит на преобразование выходного кода линейки компараторов в выходной сигнал с помощью многокаскадной логической схемы. Изменением логической схемы АЦП задержка последней может быть сведена к задержке одного триггера-защелки. Структура такого цифрового пикового детектора TDC1035 компании Raytheon представлена на рис. 3 . Она отличается от «классической» (рис. 1) тем, что вместо стробируемых D-триггеров здесь использованы RS-триггеры, срабатывающие немедленно после прихода соответствующего сигнала компаратора и остающиеся в таком состоянии до прихода импульса сброса. «Термометрический» выходной код линейки RS-триггеров представляет код пикового значения сигнала. Время его преобразования в стандартную форму уже не имеет строгих ограничений. Этот АЦП выполнен по довольно старой технологии и имеет гарантированную длительность импульса, измеряемого с полной 8-разрядной точностью, составляет 30 нс.

Рис. 3. Структурная схема пикового детектора с АЦП прямого взвешивания Raytheon TDC1035

3. АЦП конвейерного типа (Pipeline)

Как упоминалось выше, максимальная разрядность АЦП прямого взвешивания - 10. Для повышения разрешения необходимо применять иные структуры. Многие из современных быстродействующих АЦП состоят из узлов, последовательно обрабатывающих сигнал за несколько тактов сигнала выборки. При этом частота появления выходных кодов равна частоте сигнала выборки. Они называются АЦП конвейерного типа (Pipeline).

3.1. Конвейерный груботочный АЦП (Subranging)

При этом, сейчас наиболее распространенном методе сначала в цифровую форму преобразуется группа старших разрядов (грубое преобразование). С помощью ЦАП полученный код преобразуется в аналоговый сигнал, который вычитается из входного. Разностное напряжение усиливается и поступает на АЦП, осуществляющий преобразование группы младших разрядов (точное преобразование). Количество таких уточняющих преобразований, а следовательно каскадов, может быть довольно большим. АЦП младших и старших разрядов работают одновременно, последовательно обрабатывая поступающие выборки. В устройстве могут использоваться внутренние АЦП, построенные на разных принципах- прямого взвешивания или, например, рассматриваемые далее MagAmps.

На рис. 4 представлена структура усовершенствованного 12-разрядного Subranging АЦП AD9042 компании Analog Devices, который выпускается в модификациях с частотами выборки 60 и 41 МГц. Первая схема выборки-хранения SHA1 обычным образом запоминает выборку сигнала на время преобразования. Ее выходной сигнал преобразуется АЦП, выходной код которого запоминается буферным регистром, а также используется для управления ЦАП. Схема выборки-хранения SHA2 используется для предотвращения влияния работы первого АЦП на точность последующей части устройства. Из ее выходного сигнала вычитается сигнал ЦАП. Разностное напряжение усиливается и запоминается схемой выборки-хранения SHA3 на время, необходимое для работы второго АЦП. При корректной работе первого АЦП его ошибка не превысит единицы младшего разряда. Число разрядов второго преобразователя выбирается таким образом, что число разрядов первого и второго АЦП на один превышало разрядность АЦП в целом. Избыточный разряд используется для коррекции ошибки преобразования первого АЦП. Для этого ЦАП должен иметь точность не менее чем у АЦП в целом, то есть в данном случае 12-разрядную, а суммирующий усилитель такой коэффициент усиления, чтобы вес старшего разряда второго АЦП был не меньше младшего разряда первого. При этом схема корректирующей логики, представляющего собой полный сумматор, сможет уменьшить погрешность преобразования до величины, соответствующей заданному числу разрядов АЦП. Особенностью являются использование АЦП хорошо освоенного компанией типа MagAmps и для получения высокой линейности и быстродействия, ЦАП с 63 источниками тока, весовой коэффициент каждого из которых соответствует определенному коду. Технические идеи, заложенные в этой структуре, используются в ряде других изделий компании Analog Devices.

Рис. 4. Структурная схема конвейерного АЦП с корректирующей логикой Analog Devices AD9042

Аналогичную структуру имеет АЦП ADS807, используемая компанией Burr-Brown во всех сериях высокоскоростных АЦП : 12-разрядных ADS80X (самый быстрый ADS807 - 53 МГц), 10-разрядных ADS82X и ADS90X (самый быстрый ADS824 - 70 МГц), 8-разрядных ADS83X и ADS93X (самый быстрый ADS831 - 80 МГц).

Все высокоскоростные АЦП компании Texas Instruments также построены на основе этого метода. Поскольку они используют внутренние АЦП прямого взвешивания (Flash), компания называет их структуру Samiflash. За исключением TLC876, все они 8-разрядные и используют два внутренних четырехразрядных АЦП. Самый быстрый из них -TLV5580 (8 разрядов, 80 МГц, время задержки появления выходного кода - 4,5 такта), самый точный- TLC876 (10 разрядов, 20 МГц, использует 5 внутренних двухразрядных АЦП).

3.2. Многокаскадный с однобитными АЦП

Один из ранних вариантов конвейерного АЦП (ripple) состоял из последовательно включенных одинаковых каскадов. Каждый каскад содержал усилитель, однобитный ЦАП и компаратор [Х]. Входной сигнал запоминался схемой выборки-хранения, поступал на первый компаратор, при его срабатывании однобитовый сигнал ЦАП вычитался из входного сигнала, усиливался в 2 раза усилителем (для получения одинаковой чувствительности во всех каскадах) и в виде разностного сигнала поступал на следующий каскад. Таким образом, каждый из каскадов осуществлял одноразрядное аналого-цифровое преобразование. Совокупность сигналов с выходов всех компараторов представляла результат преобразования, который выходной логикой перекодировался в стандартную форму. Время преобразования определялось в основном временем прохождения сигнала через все каскады.

Рис. 5. Структурная схема однобитного АЦП MagAmp - элемента конвейерного АЦП

Усовершенствована конвейерная структура, построенная из одноразрядных АЦП, названа Magnitude Amplifiers, или сокращенно MagAmps, так как использует усилители абсолютного значения сигнала. Применяют и другие названия. Эквивалентная схема каскада такого АЦП представлена на рис. 5. Компаратор определяет знак входного напряжения, в соответствии с которым выдает выходной бит. Одновременно он управляет знаком усиления, с которым сигнал поступает на следующий каскад: +2 или –2. Опорное напряжение VR суммируется с напряжением на выходе ключа, образуя разностный сигнал, поступающий на следующий каскад. В отличие от описанного выше варианта (ripple), эта зависимость имеет скачки только по производной, но не имеет резких скачков по амплитуде, что способствует достижению высокой скорости преобразования. Основным фактором, позволяющим достигать высокой скорости преобразования, явилась возможность реализации в новых структурах аналоговых ИС с токовым управлением высокоскоростных дифференциальных каскадов с малыми искажениями и точностью, достигающей 8 разрядов без применения обратной связи. За форму зависимости этот АЦП также называют складным (folded), а за выходную кодировку в виде кода Грея также последовательным АЦП Грея. Благодаря технологичности эти структуры часто используются при построении недорогих АЦП с хорошими характеристиками. Например, Analog Devices в ее 12-разрядных АЦП AD9042, в серии AD922X с частотой квантования до 10 МГц, в сдвоенном 8-разрядном AD9059 с частотой квантования 60 МГц (5 старших разрядов) и в 8-разрядном AD9054 с частотой квантования 200 МГц (4 старших разряда).

4. О применении многофазной дискретизации

При нестабильности следования сигналов выборки, что обычно проявляется в форме их дрожания (jitter) по фазе, на сигналах с частотой, соизмеримой с частотой выборок, наблюдается появление характерных нелинейных искажений, тем больших, чем выше скорость изменения сигнала. Для повышения временной стабильности тактовых генераторов принимаются специальные меры, например, в новых осциллографах Hewlett-Packard используется схема формирования с петлей фазовой автоподстройки, обеспечивающая очень стабильный сигнал синхронизации .

Часто в высокоскоростных АЦП, построенных на различных принципах, для повышения эквивалентной частоты выборок устройства в целом, используется включение нескольких АЦП параллельно по входам и выборками с временным сдвигом относительно друг друга. Этот метод, называемый многофазной дискретизацией, дает серьезные преимущества в скорости преобразования, если время записи (выборки) сигнала в одну физическую ячейку запоминания существенно меньше времени от поступления сигнала выборки до появления сигнала на выходе АЦП. Например, в упоминавшемся выше AD9059, время выборки встроенной схемы выборки-хранения составляет 1 нс, а минимальный интервал между сигналами выборки - 16,7 нс. Однако этой возможностью нужно пользоваться осторожно. Ограничения, вызываемые недостаточной стабильностью следования сигналов выборки и различием времени преобразования для входящих в устройство АЦП, приводят к тому, что сейчас чаще всего либо используется чередование только двух АЦП, выполненных на одном кристалле, как AD9058 либо, от этой возможности отказываются вовсе, как в новых осциллографах компании Hewlett-Packard.

Литература

  1. Каталог фирмы Tektronix, 1988г.
  2. Денбновецкий С.В. и др., Запоминающие электронно-лучевые осциллографы. Москва, «Радио и связь»,1990.
  3. 20X Clock multiplication moves digitizing rate of portable Scopes in to Hiperdrive. Richard B. Rudloff, Hewlett-Packard Corp.
  4. Цифровой регистратор импульсных сигналов АФИ-1700. Техническое описание и инструкция по эксплуатации. Институт ядерной физики Сибирского отделения АН СССР, 1994 г.
  5. 500Mpsps 8-Bit Flash ADC, Analog Design Guide, 7th Edition, Maxim Integrated Products, Inc.
  6. Walt Kester. High speed sampling and high speed ADC. High speed design techniques, Analog Devices Inc.
  7. Winter 1999 Designer"s Reference Manual, CD, Analog Devices Inc.
  8. 1997 Data Book, CD, Raytheon Electronics Semiconductor Division.
  9. 1999 CD-ROM Catalog, Burr-Brown Corporation.
  10. Designer"s Guide & Data Book.

В этой статье рассмотрены основные вопросы, касающиеся принципа действия АЦП различных типов. При этом некоторые важные теоретические выкладки, касающиеся математического описания аналого-цифрового преобразования остались за рамками статьи, но приведены ссылки, по которым заинтересованный читатель сможет найти более глубокое рассмотрение теоретических аспектов работы АЦП. Таким образом, статья касается в большей степени понимания общих принципов функционирования АЦП, чем теоретического анализа их работы.

Введение

В качестве отправной точки дадим определение аналого-цифровому преобразованию. Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. Аналого-цифровой преобразователь – устройство, выполняющее такое преобразование. Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. Однако, для определенности, в дальнейшем под АЦП мы будем понимать исключительно преобразователи напряжение-код.


Понятие аналого-цифрового преобразования тесно связано с понятием измерения. Под измерением понимается процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной (как правило, с опорным напряжением). Таким образом, аналого-цифровое преобразование может рассматриваться как измерение значения входного сигнала, и к нему применимы все понятия метрологии, такие, как погрешности измерения.

Основные характеристики АЦП

АЦП имеет множество характеристик, из которых основными можно назвать частоту преобразования и разрядность. Частота преобразования обычно выражается в отсчетах в секунду (samples per second, SPS), разрядность – в битах. Современные АЦП могут иметь разрядность до 24 бит и скорость преобразования до единиц GSPS (конечно, не одновременно). Чем выше скорость и разрядность, тем труднее получить требуемые характеристики, тем дороже и сложнее преобразователь. Скорость преобразования и разрядность связаны друг с другом определенным образом, и мы можем повысить эффективную разрядность преобразования, пожертвовав скоростью.

Типы АЦП

Существует множество типов АЦП, однако в рамках данной статьи мы ограничимся рассмотрением только следующих типов:

  • АЦП параллельного преобразования (прямого преобразования, flash ADC)
  • АЦП последовательного приближения (SAR ADC)
  • дельта-сигма АЦП (АЦП с балансировкой заряда)
Существуют также и другие типы АЦП, в том числе конвейерные и комбинированные типы, состоящие из нескольких АЦП с (в общем случае) различной архитектурой. Однако приведенные выше архитектуры АЦП являются наиболее показательными в силу того, что каждая архитектура занимает определенную нишу в общем диапазоне скорость-разрядность.

Наибольшим быстродействием и самой низкой разрядностью обладают АЦП прямого (параллельного) преобразования. Например, АЦП параллельного преобразования TLC5540 фирмы Texas Instruments обладает быстродействием 40MSPS при разрядности всего 8 бит. АЦП данного типа могут иметь скорость преобразования до 1 GSPS. Здесь можно отметить, что еще большим быстродействием обладают конвейерные АЦП (pipelined ADC), однако они являются комбинацией нескольких АЦП с меньшим быстродействием и их рассмотрение выходит за рамки данной статьи.

Среднюю нишу в ряду разрядность-скорость занимают АЦП последовательного приближения. Типичными значениями является разрядность 12-18 бит при частоте преобразования 100KSPS-1MSPS.

Наибольшей точности достигают сигма-дельта АЦП, имеющие разрядность до 24 бит включительно и скорость от единиц SPS до единиц KSPS.

Еще одним типом АЦП, который находил применение в недавнем прошлом, является интегрирующий АЦП. Интегрирующие АЦП в настоящее время практически полностью вытеснены другими типами АЦП, но могут встретиться в старых измерительных приборах.

АЦП прямого преобразования

АЦП прямого преобразования получили широкое распространение в 1960-1970 годах, и стали производиться в виде интегральных схем в 1980-х. Они часто используются в составе «конвейерных» АЦП (в данной статье не рассматриваются), и имеют разрядность 6-8 бит при скорости до 1 GSPS.

Архитектура АЦП прямого преобразования изображена на рис. 1

Рис. 1. Структурная схема АЦП прямого преобразования

Принцип действия АЦП предельно прост: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R. Для схемы на рис. 1 этот ряд будет таким: (1/16, 3/16, 5/16, 7/16, 9/16, 11/16, 13/16) Uref, где Uref – опорное напряжение АЦП.

Пусть на вход АЦП подается напряжение, равное 1/2 Uref. Тогда сработают первые 4 компаратора (если считать снизу), и на их выходах появятся логические единицы. Приоритетный шифратор (priority encoder) сформирует из «столбца» единиц двоичный код, который фиксируется выходным регистром.

Теперь становятся понятны достоинства и недостатки такого преобразователя. Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие.

Но для получения N разрядов нужно 2^N компараторов (и сложность шифратора тоже растет как 2^N). Схема на рис. 1. содержит 8 компараторов и имеет 3 разряда, для получения 8 разрядов нужно уже 256 компараторов, для 10 разрядов – 1024 компаратора, для 24-битного АЦП их понадобилось бы свыше 16 млн. Однако таких высот техника еще не достигла.

АЦП последовательного приближения

Аналого-цифровой преобразователь последовательного приближения (SAR, Successive Approximation Register) измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин, генерируемых следующим образом:

1. на первом шаге на выходе встроенного цифро-аналогового преобразователя устанавливается величина, равная 1/2Uref (здесь и далее мы предполагаем, что сигнал находится в интервале (0 – Uref).

2. если сигнал больше этой величины, то он сравнивается с напряжением, лежащим посередине оставшегося интервала, т.е., в данном случае, 3/4Uref. Если сигнал меньше установленного уровня, то следующее сравнение будет производиться с меньшей половиной оставшегося интервала (т.е. с уровнем 1/4Uref).

3. Шаг 2 повторяется N раз. Таким образом, N сравнений («взвешиваний») порождает N бит результата.

Рис. 2. Структурная схема АЦП последовательного приближения.

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1. Компаратор. Он сравнивает входную величину и текущее значение «весового» напряжения (на рис. 2. обозначен треугольником).

2. Цифро-аналоговый преобразователь (Digital to Analog Converter, DAC). Он генерирует «весовое» значение напряжения на основе поступающего на вход цифрового кода.

3. Регистр последовательного приближения (Successive Approximation Register, SAR). Он осуществляет алгоритм последовательного приближения, генерируя текущее значение кода, подающегося на вход ЦАП. По его названию названа вся данная архитектура АЦП.

4. Схема выборки-хранения (Sample/Hold, S/H). Для работы данного АЦП принципиально важно, чтобы входное напряжение сохраняло неизменную величину в течение всего цикла преобразования. Однако «реальные» сигналы имеют свойство изменяться во времени. Схема выборки-хранения «запоминает» текущее значение аналогового сигнала, и сохраняет его неизменным на протяжении всего цикла работы устройства.

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит (сейчас стали появляться и 24-битные SAR ADC, например, AD7766 и AD7767).

Дельта-сигма АЦП

И, наконец, самый интересный тип АЦП – сигма-дельта АЦП, иногда называемый в литературе АЦП с балансировкой заряда. Структурная схема сигма-дельта АЦП приведена на рис. 3.

Рис.3. Структурная схема сигма-дельта АЦП.

Принцип действия данного АЦП несколько более сложен, чем у других типов АЦП. Его суть в том, что входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения. Таким образом, данный АЦП представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение (рис. 4). Результатом работы данной схемы является поток нулей и единиц на выходе компаратора, который затем пропускается через цифровой ФНЧ, в результате получается N-битный результат. ФНЧ на рис. 3. Объединен с «дециматором», устройством, снижающим частоту следования отсчетов путем их «прореживания».

Рис. 4. Сигма-дельта АЦП как следящая система

Ради строгости изложения, нужно сказать, что на рис. 3 изображена структурная схема сигма-дельта АЦП первого порядка. Сигма-дельта АЦП второго порядка имеет два интегратора и две петли обратной связи, но здесь рассматриваться не будет. Интересующиеся данной темой могут обратиться к .

На рис. 5 показаны сигналы в АЦП при нулевом уровне на входе (сверху) и при уровне Vref/2 (снизу).

Рис. 5. Сигналы в АЦП при разных уровнях сигнала на входе.

Теперь, не углубляясь в сложный математический анализ, попробуем понять, почему сигма-дельта АЦП обладают очень низким уровнем собственных шумов.

Рассмотрим структурную схему сигма-дельта модулятора, изображенную на рис. 3, и представим ее в таком виде (рис. 6):

Рис. 6. Структурная схема сигма-дельта модулятора

Здесь компаратор представлен как сумматор, который суммирует непрерывный полезный сигнал и шум квантования.

Пусть интегратор имеет передаточную функцию 1/s. Тогда, представив полезный сигнал как X(s), выход сигма-дельта модулятора как Y(s), а шум квантования как E(s), получаем передаточную функцию АЦП:

Y(s) = X(s)/(s+1) + E(s)s/(s+1)

То есть, фактически сигма-дельта модулятор является фильтром низких частот (1/(s+1)) для полезного сигнала, и фильтром высоких частот (s/(s+1)) для шума, причем оба фильтра имеют одинаковую частоту среза. Шум, сосредоточенный в высокочастотной области спектра, легко удаляется цифровым ФНЧ, который стоит после модулятора.

Рис. 7. Явление «вытеснения» шума в высокочастотную часть спектра

Однако следует понимать, что это чрезвычайно упрощенное объяснение явления вытеснения шума (noise shaping) в сигма-дельта АЦП.

Итак, основным достоинством сигма-дельта АЦП является высокая точность, обусловленная крайне низким уровнем собственного шума. Однако для достижения высокой точности нужно, чтобы частота среза цифрового фильтра была как можно ниже, во много раз меньше частоты работы сигма-дельта модулятора. Поэтому сигма-дельта АЦП имеют низкую скорость преобразования.

Они могут использоваться в аудиотехнике, однако основное применение находят в промышленной автоматике для преобразования сигналов датчиков, в измерительных приборах, и в других приложениях, где требуется высокая точность. но не требуется высокой скорости.

Немного истории

Самым старым упоминанием АЦП в истории является, вероятно, патент Paul M. Rainey, «Facsimile Telegraph System,» U.S. Patent 1,608,527, Filed July 20, 1921, Issued November 30, 1926. Изображенное в патенте устройство фактически является 5-битным АЦП прямого преобразования.

Рис. 8. Первый патент на АЦП

Рис. 9. АЦП прямого преобразования (1975 г.)

Устройство, изображенное на рисунке, представляет собой АЦП прямого преобразования MOD-4100 производства Computer Labs, 1975 года выпуска, собранный на основе дискретных компараторов. Компараторов 16 штук (они расположены полукругом, для того, чтобы уравнять задержку распространения сигнала до каждого компаратора), следовательно, АЦП имеет разрядность всего 4 бита. Скорость преобразования 100 MSPS, потребляемая мощность 14 ватт.

На следующем рисунке изображена продвинутая версия АЦП прямого преобразования.

Рис. 10. АЦП прямого преобразования (1970 г.)

Устройство VHS-630 1970 года выпуска, произведенное фирмой Computer Labs, содержало 64 компаратора, имело разрядность 6 бит, скорость 30MSPS и потребляло 100 ватт (версия 1975 года VHS-675 имела скорость 75 MSPS и потребление 130 ватт).

Литература

W. Kester. ADC Architectures I: The Flash Converter. Analog Devices, MT-020 Tutorial.

2024 bioaquansk.ru. Школа компьютерной грамотности.